Цап принцип действия. Цифро-аналоговый преобразователь: описание, принцип работы, применение

  • 23.06.2019

Простейшим цифроаналоговым преобразователем (ЦАП) является одноразрядный преобразователь. В качестве такого ЦАП может служить простой усилитель-ограничитель, в качестве которого можно применить . Особенно хорошо подойдет выполненный по КМОП технологии, так как в данной технологии выходные токи единицы и нуля равны. такого цифро-аналогового преобразователя приведена на рисунке 1.


Рисунок 1. Принципиальная схема одноразрядного цифро-аналогового преобразователя (ЦАП)

Одноразрядный ЦАП преобразует в аналоговую форму знак числа. Для цифро-аналогового преобразования на очень высокой частоте дискретизации, во много раз превышающей частоту Котельникова, такого преобразователя вполне достаточно, однако, в большинстве случаев для качественного цифро-аналогового преобразования требуется большее количество разрядов. Известно, что двоичное число описывается следующей формулой:

(1)

Для преобразования цифрового двоичного кода в напряжение можно воспользоваться данной формулой непосредственно, т. е. применить аналоговый сумматор. Токи будем задавать при помощи резисторов. Если резисторы будут отличаться друг от друга в два раза, то и токи тоже будут подчиняться двоичному закону, как показано в формуле (1). Если на выходе регистра будет присутствовать логическая единица, то она будет преобразована в ток, соответствующий двоичному разряду при помощи резистора. В этом случае напряжений будет работать в качестве цифроаналогового преобразователя. Схема ЦАП, работающего по описанному принципу, приведена на рисунке 2.


Рисунок 2. Принципиальная схема четырехразрядного цифро-аналогового преобразователя с суммированием весовых токов

На схеме, приведенной на рисунке 2, потенциал второго вывода равен нулю. Это обеспечивается параллельной отрицательной обратной связью, которая уменьшает входное сопротивление операционного усилителя. Коэффициент передачи выбирается при помощи резистора, включенного с выхода на вход операционного усилителя. Если требуется единичный коэффициент передачи, то это сопротивление должно быть равно параллельному сопротивлению всех резисторов, подключенных к выходам параллельного регистра. В описанном устройстве ток младшего разряда будет в восемь раз меньше тока старшего разряда. Для уменьшения влияния входных токов реального операционного усилителя между его неинвертирующим входом и общим проводом включается резистор с сопротивлением равным параллельному включению всех остальных резисторов.

Учитывая, что на выходе всех разрядов регистра присутствует или нулевое напряжение или равное напряжению питания, на выходе операционного усилителя напряжение будет действовать в диапазоне от нуля до минус напряжения питания. Это не всегда удобно. Если нужно, чтобы устройство работало от одного источника питания, то ее нужно немного изменить. Для этого на неинвертирующий вход операционного усилителя подадим напряжение, равное половине питания. Его можно получить от резистивного делителя напряжения. Ток нуля и ток единицы выходного каскада регистра в новой схеме должны совпадать. Тогда на выходе операционного усилителя напряжение будет меняться в диапазоне от нуля до напряжения питания. Схема цифро-аналогового преобразователя с однополярным питанием приведена на рисунке 3.



Рисунок 3. Цифро-аналоговый преобразователь с однополярным питанием

В схеме, приведенной на рисунке 3, стабильность выходного тока и напряжения обеспечивается стабильностью напряжения питания параллельного регистра. Однако обычно напряжение питания цифровых микросхем сильно зашумлено. Этот шум будет присутствовать и в выходном сигнале. В многоразрядном цифро-аналоговом преобразователе это нежелательно, поэтому его выходные ключи запитываются от высокостабильного малошумящего . В настоящее время подобные микросхемы выпускаются рядом фирм. В качестве примера можно назвать ADR4520 фирмы Analog Devices или MAX6220_25 фирмы Maxim Integrated.

При изготовлении многоразрядных цифро-аналоговых преобразователей необходимо изготавливать резисторы с высокой точностью. Раньше это достигалось лазерной подгонкой резисторов. В настоящее время в качестве источников тока обычно используются не резисторы, а генераторы тока на полевых транзисторах. Применение полевых транзисторов позволяет значительно сократить размеры кристалла ЦАП. При этом для увеличения тока транзисторы соединяют параллельно. Это позволяет добиться высокой точности соответствия токов двоичному закону (i 0 , 2i 0 , 4i 0 , 8i 0 и т.д.). Высокая скорость преобразования достигается при малом сопротивлении нагрузки. Схема преобразователя цифрового кода в выходной ток, работающего по описанному принципу приведена на рисунке 4.



Рисунок 4. Внутренняя схема ЦАП с суммированием токов

Естественно, электронные ключи, показанные на рисунке 4, тоже представляют собой полевые транзисторы. Однако если их показать на схеме, то можно запутаться где ключ, а где генератор тока. Так как полевой транзистор может одновременно работать в качестве генератора тока и электронного ключа, то их часто объединяют, а двоичный закон формируют при помощи , как это показано на рисунке 5.



Рисунок 5. Внутренняя схема ЦАП с суммированием одинаковых токов

В качестве примера микросхем, где используется решение с суммированием тока, можно назвать ЦАП AD7945. В ней суммирование токов применяется для формированиястарших разрядов. Для работы с младшими разрядами используется . Для преобразования выходного тока в напряжение обычно применяется операционный усилитель, однако его скорость нарастания выходного напряжения оказывает существенное влияние на быстродействие цифро-аналогового преобразователя в целом. Поэтому схема ЦАП с операционным усилителем используется только в широкополосных схемах, таких как преобразование звукового или телевизионного сигнала.


Рисунок 6. Цифро-аналоговый преобразователь двоичный код-напряжение

Литература:

Вместе со статьей "Цифроаналоговые преобразователи (ЦАП) с суммированием токов" читают:


http://сайт/digital/R2R/


http://сайт/digital/sigmaadc.php

Иногда складывается впечатление, что цифровой мир практически полностью сливается с реальным. Но несмотря на появление таких систем как «gigaFLOPS», «22 nm» и многих других реальный мир упорно остается аналоговым и никак не цифровым, а мы по-прежнему должны работать с нашими цифровым системами, которые в современном мире присутствуют практически везде.

Цифро-аналоговый преобразователь ЦАП преобразовывает входной цифровой сигнал в аналоговый выходной. Понятие «точность» может варьироваться (в зависимости от производителя), но мы опишем цифро-аналоговые преобразователи с разрешением от 8 до 16 бит и скоростью до 10 Мвыборок/с. Данные цифро-аналоговые преобразователи ЦАП используются в различных системах – аудио- и видео аппаратуре, управление процессором, измерительные приборы, системы автоматизации, системы электропривода и многих других. У каждой отдельной системы существуют индивидуальные требования к ЦАП, например, разрешение, статические и динамические характеристики, потребляемая мощность и другие.

В параметрах и техническом описании указываются погрешность смещения, дифференциальная нелинейность (DNL), интегральная нелинейность (INL) и другие параметры, необходимые для обеспечения хорошей производительности в системах постоянного тока, например таких, как управления электроприводом или каким-то технологическим процессом.

Некоторые приложения, например, для генерации сигнала на экране монитора, подчеркивают необходимость хорошей производительности на переменном токе, который в техническом описании указывается в таких параметрах как время отставания, шумы и полоса частот пропускания. Сделать само устройство с применением ЦАП значительно сложнее, чем выбрать цифро-аналоговый преобразователь из каталога, ведь в систему помимо ЦАП будет входить еще много электронных компонентов, влияние которых также нужно учитывать. Ниже мы попытаемся это рассмотреть.
Содержание:

Три основные архитектуры для точных ЦАП

При выборе точности цифро-аналогового преобразователя для вашей системы необходимо, чтоб спецификация ЦАП соответствовала требованиям системы. По сравнению с изобилием архитектур аналого-цифровых преобразователей АЦП выбор цифро-аналогового преобразователя может показаться легкой задачей, так как в ЦАП имеется всего три основных архитектуры. Но это только кажется что задача легкая, ведь различие в производительности каждой из архитектур довольно существенны.

В ЦАП используют три основные архитектуры – струнная (последовательная), R-2R, умножающий ЦАП (multiplying DAC (MDAC)).

Струнный цифро-аналоговый преобразователь

Концепция, лежащая в основе струнного цифро-аналогового преобразователя, исходит от Лорда Кельвина с середины 1800 годов:

Входной декодер имеет несколько переключателей, по одному для каждой комбинации битов. Каждый цифровой вход подключается к соответствующему напряжению усилителя выходного напряжения.

N – битовый ЦАП состоит из последовательности 2 N соответствующих резисторов, а также источника напряжения на одном конце, и «земли» на другом. Трехбитный ЦАП (рисунок выше) требует восемь резисторов и семь переключателей, но эти цифры растут очень сильно с повышением разрядности и для 16 битного ЦАП необходимо уже 65536 резисторов!!! Это число очень большое, даже для современных систем. Для уменьшения количества резисторов используют интерполяционные усилители и ответвления на отдельные резисторы.

Струнные или последовательные цифро-аналоговые преобразователи вполне подходят для большинства точных приложений таких как, контроль перемещений, системы автоматического управления (в сервоприводах и при управлении электроприводом).

Выходное напряжение струнных ЦАП изначально монотонное с хорошей дифференциальной нелинейность (DNL), но его интегральная нелинейность (INL) не очень хороша, так как напрямую зависит от погрешности резистора. С точки зрения систем переменного тока струнные ЦАП демонстрируют более низкую производительность в сравнении с другими архитектурами, так как обладает довольно высоким уровнем шумов, что вызвано большим полным сопротивлением резисторов, а структура коммутации приводит к замедлению обработки сигналов при переходах, ограничивая при этом скорость обновлений.

Архитектура R-2R

Данная архитектура наиболее распространена среди цифро-аналоговых преобразователей и схема ее показана ниже:

Данная архитектура использует только резисторы с двумя различными сопротивлениями, соотношения между которыми определяются как 2 к 1.

При установке конкретного бита соответствующий 2R резистор переключается в положение V REF — H , в противном случае он устанавливается в положение V REF — L (земля). В результате получаем выходное напряжение, которое будет являться суммой всех лестничных напряжений 2R.

Архитектура R-2R хорошо подходит для применения в промышленных установках и устройствах. Они более точны, чем струнные цифро-аналоговые преобразователи, имеют более низкий уровень шумов из-за наличия меньшего результирующего сопротивления, а также у них лучше INL и DNL производительность.

Преобразование сигнала в преобразователе с архитектурой R-2R представляет собой переключение ножки 2R между V REF — H и V REF — L . Внутренние резисторы и переключатели внутри устройства не совпадают идеально, что может приводить к определенным сбоям в процессе переключения.

Умножающий цифро-аналоговый преобразователь MDAC

Умножающий преобразователь MDAC тоже использует архитектуру R-2R, но с опорным напряжением V REF . Схема ниже:

Когда бит установлен, соответствующий 2R резистор подключается к виртуальной «земле» — суммирующий операционный усилитель. Именно поэтому умножающий цифро-аналоговый преобразователь выдает не напряжение, а ток, при этом опорное напряжение V REF может превышать номинальное или вовсе быть отрицательным.

Источник V REF «видит» в MDAC постоянное сопротивление, равное R, поэтому имеет всегда постоянный выходной ток, что повышает производительность во время быстрых переходов, так как нет необходимости ждать пока восстановится величина опорного напряжения. В зависимости от цифрового кода текущий поток разделяется на выходной контакт, и контакт заземления. Это значит, что выходной импеданс будет различен, а это несколько затрудняет выбор внешнего операционного усилителя ОУ.

Для повышения производительности выхода MDAC включают в качестве обратной связи внутренний резистор с тепловой реакцией, примерно соответствующей внутреннему резистору ступени. Внутренний шум из умножающего цифро-аналогового преобразователя исходит как от сопротивлений ступеней, так и от сопротивления обратной связи. Поскольку выходное сопротивление является кодозависимым, то от него зависит и коэффициент усиления шумов, хотя уровень шумов у MDAC значительно ниже, чем у последовательных (струнных) ЦАП. Стоит отметить, что внешний операционный усилитель ОУ может быть с низким уровнем шумов.

Одним из недостатков является то, что входной сигнал является обратным выходному, что в свою очередь требует дополнительной операции инвертирования.

Понимание параметров производительности переменного тока

Для получения максимальной производительности при работе цифро-аналогового преобразователя на переменном токе нужно понять определенные тонкости, а также возможные шаги, которые можно сделать для оптимизации.

Время, необходимое для выхода операционного усилителя ОУ на окончательное значение, является одним из основных показателей качества ЦАП. Ниже показаны участки времени срабатывания цифро-аналогового преобразователя:

  • Мертвое время (Dead time ): это время, необходимое для достижения 10% от требуемого значения выходного аналогового сигнала, начиная с момента, когда цифровой код поступил на цифро-аналоговый преобразователь;
  • Время нарастания выходного сигнала(Slew time ): время, необходимое для возрастания аналогового выходного сигнала с 10% до 90%;
  • Время восстановления и установления(Recovery time, linear settling time ): перерегулирование и установление аналогового сигнала заданной формы;

После установления значения выходного аналогового сигнала в диапазоне допустимой ошибки процесс считается завершенным даже в случае, если сигнал все еще колеблется, но не выходит за пределы допустимой ошибки.

Ниже показан переходный процесс реального 18 битного, одноканального, R-2R цифро-аналогового преобразователя DAC988:

Время установления сигнала измеряется от момента перехода сигнала LDAC на низкий уровень, после чего начался переходный процесс в системе. Обратите внимание на то, что процесс убывания сигнала самый длительный, с долгим процессом восстановления и несущественным влиянием на него статического сигнала.

Ошибки переключения

Идеальное изменение выходного сигнала ЦАП – это монотонное его нарастание или спадания, но в реальности это не так, а изменения сигнала происходят скачкообразно. В отличии от времени установления, ошибка переключения вызвана не соответствием внутренних переключений (доминирующий фактор), или же емкостными связями между входными цифровыми и выходными аналоговыми сигналами:

Ошибка характеризуется площадью под положительным и отрицательным ложным импульсом и измеряется в вольт-секундах (чаще всего в мкВ∙с или нВ∙с).

С возрастанием количества параллельных переключателей возрастает и ошибка. Это один из недостатков архитектуры R-2R. Ошибки в архитектуре R-2R наиболее заметна при изменении всех битов или при переключении наиболее значащих битов, при переключении из 0x7FFF в 0x8000 (для 16-битных ЦАП).

Если уменьшить количество переключающихся последовательных резисторов нельзя, то применяют на выходе преобразователя, схемы показаны ниже:

На рисунке а) показан самый простой RC фильтр, который устанавливается на выходе и позволяет несколько снизить уровень амплитуды выходной ошибки, однако тем самым он затягивает скорость нарастания сигнала, чем увеличивает время отставания. На рисунке b) представлен вариант с добавлением выборки и удержанием цепи. Да, это позволяет снизить ошибку практически до нуля, однако реализовать такую схему чрезвычайно сложно, так как она накладывает жесткие требования к временным показателям срабатывания, а также жесткую синхронизацию с частотой обновления ЦАП.

Источники шума

Шум – один из важнейших компонентов производительности современного цифро-аналогового преобразователя на переменном токе. Существует три основных источника шума – внутренняя цепь резисторов, внутренние и внешние усилители, источники опорного напряжения. Влияние внутренних резисторов на шумы преобразователя рассматривалось ранее в этой статье, поэтому рассмотрим остальные два источника шумов.

Шум внешнего операционного усилителя ОУ

Выход усилителя ЦАП является еще одним источником шумов. MDAC использует внешний операционный усилитель, но другие архитектуры используют внутренний ОУ, чем влияют на общий коэффициент выходных шумов.

Шум в схеме операционных усилителей имеет три основных составляющих:

  • 1/f шума или фликкер-шум;
  • Шумы широкополосного напряжения или белый шум;
  • Шумы напряжений и токов на резисторах;

Первые два считаются внутренними свойствами самого операционного усилителя ОУ, а полоса пропускания ограничивается самим цифро-аналоговым преобразователем, что значительно снижает влияние широкополосных шумов. Для лучшей производительности на переменном токе следует обратить внимание на операционные усилители с низким уровнем 1/f шумов.

Шумы от внешнего опорного напряжения V REF

Выходные шумы ЦАП напрямую зависят от шумов в опорном напряжении, которое может быть как внешним, так и внутренним. Для обеспечения максимальной производительности и минимального уровня шумов необходимо использовать качественные источники опорного напряжения. Существует огромный выбор источников опорного напряжения от нескольких производителей.

Вывод

Получение максимальной производительности переменного тока от прецизионного ЦАП представляет собой сочетание понимания технических характеристик, выбора правильной архитектуры и добавления нужных внешних компонентов, и, конечно же, следование проверенным методикам выбора и расчета электронных компонентов.

Цифро-аналоговые преобразователи имеют статические и динамические характеристики.

Статические характеристики ЦАП

Основными статическими характеристиками ЦАП, являются:

· разрешающая способность;

· нелинейность;

· дифференциальная нелинейность;

· монотонность;

· коэффициент преобразования;

· абсолютная погрешности полной шкалы;

· относительная погрешности полной шкалы;

· смещение нуля;

· абсолютная погрешность

Разрешающая способность – это приращение U ВЫХ при преобразовании смежных значений D j , т.е. отличающихся на единицу младшего разряда (ЕМР). Это приращение является шагом квантования. Для двоичных кодов преобразования номинальное значение шага квантования

h = U ПШ /(2 N – 1),

где U ПШ – номинальное максимальное выходное напряжение ЦАП (напряжение полной шкалы), N – разрядность ЦАП. Чем больше разрядность преобразователя, тем выше его разрешающая способность.

Погрешность полной шкалы – относительная разность между реальным и идеальным значениями предела шкалы преобразования при отсутствии смещения нуля, т.е.

Является мультипликативной составляющей полной погрешности. Иногда указывается соответствующим числом ЕМР.

Погрешность смещения нуля – значение U ВЫХ, когда входной код ЦАП равен нулю. Является аддитивной составляющей полной погрешности. Обычно указывается в милливольтах или в процентах от полной шкалы:

Нелинейность – максимальное отклонение реальной характеристики преобразования U ВЫХ (D) от оптимальной (рис. 5.2, линия 2). Оптимальная характеристика находится эмпирически так, чтобы минимизировать значение погрешности нелинейности. Нелинейность обычно определяется в относительных единицах, но в справочных данных приводится также и в ЕМР. Для характеристики, приведенной на рис. 5.2,

Дифференциальная нелинейность максимальное изменение (с учетом знака) отклонения реальной характеристики преобразования U ВЫХ (D) от оптимальной при переходе от одного значения входного кода к другому смежному значению. Обычно определяется в относительных единицах или в ЕМР. Для характеристики, приведенной на рис. 5.2,

Монотонность характеристики преобразования – возрастание (уменьшение) выходного напряжения ЦАП (U ВЫХ) при возрастании (уменьшении) входного кода D . Если дифференциальная нелинейность больше относительного шага квантования h/U ПШ, то характеристика преобразователя немонотонна.

Температурная нестабильность ЦАП характеризуется температурными коэффициентами погрешности полной шкалы и погрешности смещения нуля.

Погрешности полной шкалы и смещения нуля могут быть устранены калибровкой (подстройкой). Погрешности нелинейности простыми средствами устранить нельзя.

Динамические характеристики ЦАП

К динамическим характеристик ам ЦАП относятся время установления и время преобразования.

При последовательном возрастании значений входного цифрового сигнала D(t) от 0 до (2 N – 1) через единицу младшего разряда выходной сигнал U ВЫХ (t) образует ступенчатую кривую. Такую зависимость называют обычно характеристикой преобразования ЦАП. В отсутствие аппаратных погрешностей средние точки ступенек расположены на идеальной прямой 1 (см. рис. 5.2), которой соответствует идеальная характеристика преобразования. Реальная характеристика преобразования может существенно отличаться от идеальной размерами и формой ступенек, а также расположением на плоскости координат. Для количественного описания этих различий существует целый ряд параметров.

Динамические параметры ЦАП определяются по изменению выходного сигнала при скачкообразном изменении входного кода, обычно от величины «все нули» до «все единицы» (рис. 5.3).

Время установления – интервал времени от момента измене
ния входного кода (рис. 5.3, t = 0) до момента, когда в последний раз выполняется равенство:

|U ВЫХ – U ПШ | = d/2,

причем d/2 обычно соответствует ЕМР.

Скорость нарастания максимальная скорость изменения U ВЫХ (t) во время переходного процесса. Определяется как отношение приращения D U ВЫХ ко времени Dt, за которое произошло это приращение. Обычно указывается в технических характеристиках ЦАП с выходным сигналом в виде напряжения. У цифро-аналоговых преобразователей с токовым выходом этот параметр в большой степени зависит от типа выходного ОУ.

Для перемножающих ЦАП с выходом в виде напряжения часто указываются частота единичного усиления и мощностная полоса пропускания, которые в основном определяются свойствами выходного усилителя.

На рисунке 5.4 приведены два способа линеаризации, из которых следует, что способ линеаризации для получения минимального значения D л, показанный на рис. 5.4, б, позволяет уменьшить погрешность D л вдвое по сравнению с методом линеаризации по граничным точкам (рис. 5.4, а).

Для цифро-аналоговых преобразователей с n двоичными разрядами в идеальном случае (при отсутствии погрешностей преобразования) аналоговый выход U ВЫХ соотносится с входным двоичным числом следующим образом:

U ВЫХ = U ОП (a 1 2 -1 + a 2 2 -2 +…+ a n 2 -n),

где U ОП – опорное напряжение ЦАП (от встроенного или внешнего источника).

Так как ∑ 2 -i = 1 – 2 -n , то при всех включенных разрядах выходное напряжение ЦАП равно:

U ВЫХ (a 1 …a n) = U ОП (1 – 2 -n) = (U ОП /2 n) (2 n – 1) = D (2 n – 1) = U ПШ,

где U ПШ – напряжение полной шкалы.

Таким образом, при включении всех разрядов выходное напряжение цифро-аналогового преобразователя, которое в этом случае образует U ПШ, отличается от значения опорного напряжения (U ОП) на величину младшего разряда преобразователя (D), определяемого как

D = U ОП /2 n .

При включении какого-либо i-го разряда выходное напряжение ЦАП определится из соотношения:

U ВЫХ /a i = U ОП 2 -i .

Цифро-аналоговый преобразователь преобразует цифровой двоичный код Q 4 Q 3 Q 2 Q 1 в аналоговую величину, обычно напряжение U ВЫХ. или ток I ВЫХ. Каждый разряд двоичного кода имеет определенный вес i-го разряда вдвое больше, чем вес (i-1)-го. Работу ЦАП можно описать следующей формулой:

U ВЫХ = e (Q 1 · 1 + Q 2 ·2 + Q 3 ·4 + Q 4 ·8 +…),

где e – напряжение, соответствующее весу младшего разряда, Q i – значение i -го разряда двоичного кода (0 или 1).

Например, числу 1001 соответствует:

U ВЫХ = е (1 ·1 + 0 ·2 + 0 ·4 + 1 · = 9 ·e,

а числу 1100 соответствует

U ВЫХ = e (0 ·1 + 0 ·2 + 1 ·4 + 1 · = 12 ·e.

Аналоговые сигналы характеризуются многими техническими параметрами, одним из которых является Например, ухо человека слышит сигналы, имеющие частоту в диапазоне от 1 до 22 кГц, а видимый свет содержит частоты, измеряемые миллиардами герц. Примером записи аналогового сигнала может служить граммофонная пластинка. Фотографии, вначале черно-белые, а, затем, и цветные - тоже пример записи аналогового сигнала.

Практически всегда стоит после о котором полезно сказать несколько слов, чтобы была понятней задача, которую решают рассматриваемые нами устройства.

АЦП преобразует в цифровой. Обычно число, которое соответствует величине сигнала в момент его измерения, представляют двоичным кодом. Каждое измерение выполняют с определенной частотой, называемой частотой квантования.

Теоретически обоснована минимальная частота квантования, обеспечивающая неискаженное восстановление сигнала. Этот сигнал без искажения и должен восстановить на выходе преобразователь цифрового сигнала в аналоговый. Частота квантования должна быть не меньше двух максимальных частот преобразуемого сигнала. Например, для неискаженного преобразования звукового сигнала достаточно частоты квантования, равной 44 кГц.

Теперь понятно, что имеет на входе последовательность двоичных кодов, который он и должен преобразовать в соответствующий аналоговый сигнал.

Надежность в работе и срок службы также входят в показатели, но эти параметры зависят не от принципа работы ЦАП, а, скорее, от элементной базы и качества сборки. Независимо от принципа преобразования, цифро-аналоговые преобразователи различают по характеристикам, таким как динамический диапазон, точность преобразования и по временным показателям.

Динамический диапазон определяют для входа и выхода ЦАП, как отношение максимальной величины на входе (на выходе), к минимальной входной (выходной) величине.

Одним из временных параметров является величина, обратная частоте квантования, называемая периодом квантования. Понятно, что для ЦАП эту величину задает АЦП, с помощью которого сигнал был преобразован.

Основной же величиной, характеризующей быстродействие ЦАП, является время преобразования. Здесь приходится выбирать: большее время преобразования - более точный ЦАП, но меньше его быстродействие, и наоборот.

Рассмотрим некоторые принципы преобразования «цифра-аналог», не приводя формул и схем. Существует два принципа преобразования - последовательный и параллельный.

Последовательность цифровых кодов на входе цифро-аналоговый преобразователь преобразует в последовательность прямоугольных импульсов на выходе. Ширину импульса и последующий за ним интервал до очередного импульса определяют в зависимости от значения поступившего двоичного кода. Следовательно, на выходе низкочастотного фильтра получают аналоговый сигнал, по импульсам, поступающим на вход с переменным периодом.

Параллельное преобразование выполняют, например, с помощью сопротивлений, включенных параллельно к стабильному источнику питания. Количество сопротивлений равно разрядности поступающего на вход кода. Величина сопротивления в старшем разряде в 2 раза меньше, чем в предшествующем младшем разряде. В цепи каждого сопротивления имеется ключ. Входной код управляет ключами - там, где 1, ток проходит. Следовательно, в цепях ток будет определяться весом разряда, и цифро-аналоговый преобразователь на выходе имеет суммарный ток, который будет соответствовать записанному двоичному коду.

ЦАП – цифро-аналоговые преобразователи – устройства, предназначенные для преобразования дискретного (цифрового) сигнала в непрерывный (аналоговый) сигнал. Преобразование производится пропорционально двоичному коду сигнала.

Классификация ЦАП

По виду выходного сигнала : с токовым выходом и выходом в виде напряжения;

По типу цифрового интерфейса : с последовательным вводом и с параллельным вводом входного кода;

По числу ЦАП на кристалле : одноканальные и многоканальные;

По быстродействию : умеренного быстродействия и высокого быстродействия.

Основные параметры ЦАП:

1. N – разрядность.

2. Максимальный выходной ток.

4. Величина опорного напряжения.

5. Разрешающая способность.

6. Уровни управляющего напряжения (ТТЛ или КМОП).

7. Погрешности преобразования (погрешность смещения нуля на выходе, абсолютная погрешность преобразования, нелинейность преобразования, дифференциальная нелинейность). 8. Время преобразования – интервал времени с момента предъявления (подачи) кода до момента появления выходного сигнала.

9. Время установления аналогового сигнала

Основными элементами ЦАП служат:

Резистивные матрицы (набор делителей с определенным ТКС, с определенным отклонением 2%, 5% и менее) могут быть встроены в ИМС;

Ключи (на биполярных или МОП-транзисторах);

Источник опорного напряжения.

Основные схемы построения ЦАП.


21. Ацп. Общие положения. Частота дискретизации. Классификация ацп. Принцип работы ацп параллельного действия.

По быстродействию АЦП делят на:

1. АЦП параллельного преобразования (параллельные АЦП) – быстродействующие АЦП, имеют сложное аппаратное использование единицы ГГц.разрешение N = 8-12 бит, Fg = десятки МГц

2. АЦП последовательного приближения (последовательного счета) до 10МГц.разрешение N = 10-16 бит, Fg = десятки кГц

3. Интегрирующие АЦП сотни Гц.разрешение N = 16-24 бит, Fg = десятки

4. Сигма-дельта АЦП единицы МГц.разрешение N = 16-24 бит, Fg = сотни Гц

22. Ацп последовательного счета. Принцип действия.

23. АЦП последовательных приближений. Принцип действия.

Этот код с выхода РПП подается на ЦАП, который выдает соответствующее напряжение 3/4Uвхmах, которое сравнивается с Uвх (на СС) и результат записывается в тот же разряд четвертым тактовым импульсом. Далее процесс продолжается до тех пор, пока не будут проанализированы все разряды.

Время преобразования АЦП последовательного приближения:

tпр = 2nTG, где TG – период следования импульсов генератора; n – разрядность АЦП.

Такие АЦП уступают по быстродействию АЦП параллельного типа, однако они более дешевые и потребляют меньшую мощность. Пример: 1113ПВ1.

24. Принцип работы ацп интегрирующего типа.

В основе принципа работы интегрирующего АЦП лежат два основных принципа:

1. Преобразование входного напряжения в частоту или в длительность (время) импульсов

Uвх → f (ПНЧ – преобразователь напряжение-частота)

2. Преобразование частоты или длительности (времени) в цифровой код

f → N; T→ N.

Основную погрешность вносят ПНЧ.

АЦП данного типа осуществляют преобразование в два этапа.

На первом этапе входной аналоговый сигнал интегрируетися и это проинтегрированное значение преобразуется в импульсную последовательность. Частота следования импульсов в этой последовательности или их длительность бывает промодулирована проинтегрированным значением входного сигнала.

На втором этапе эта последовательность импульсов преобразуется в цифровой код - измеряется ее частота или длительность импульсов.